EDA

June 7, 2012

DAC 2012: Synopsys marries virtual and FPGA prototyping

Expose your transaction-level innovations to the real world early on and catch bugs before simulation.
Article  |  Topics: Blog Topics, Commentary, ESL/SystemC, Verification  |  Tags: , , ,   |  Organizations:
June 6, 2012

DAC 2012: A look inside Accellera’s UCIS

Accellera has approved version 1.0 of the Unified Coverage Interoperability Standard (UCIS). Here's how it works.
June 4, 2012

DAC2012: Blue Pearl partners Xilinx, develops grey-box approach to ARM cores

Blue Pearl is building alliances to bring its timing analysis tools to more users.
Article  |  Topics: Commentary  |  Tags: ,   |  Organizations: , ,
June 1, 2012

DAC 2012: STMicro, Cadence, GlobalFoundries in 20nm AMS claims

The troops will be out in force next week to claim progress on 20nm AMS design flows that take manufacturability into account.
May 29, 2012

DAC 2012: Atrenta to automate production of power-intent constraints

Atrenta is updating existing tools and planning new ones to help designers get the most bang for their joule.
Article  |  Topics: Commentary, Conferences  |  Tags: , , , , ,   |  Organizations:
May 29, 2012

DAC 2012: Calypto brings tools together for high-level power savings

Calypto has combined the Catapult high-level synthesis (HLS) tool with elements of its PowerPro software to focus on the demand for lower-power SoC designs.
May 29, 2012

DAC 2012: Introducing Flexras Technologies

French start-up and conference debutant joins the drive to ease partitioning for FPGA prototypes
Article  |  Topics: Blog Topics, Verification  |  Tags: , , , ,   |  Organizations:
May 22, 2012

Making dummy fill smarter

Guest blogger Jeff Wilson discusses some of the subtleties involved in the effective use of dummy fill in deep sub-micron IC designs.
Article  |  Topics: Commentary, Design to Silicon, Blog - EDA, - Industry Blogs  |  Tags: , ,   |  Organizations:
May 16, 2012

Cadence joins the dots for verification

The EDA giant has accelerated and integrated its tool suites and broadened its verification IP catalog in its new look System Development Suite.
Article  |  Topics: Blog Topics  |  Tags: , ,   |  Organizations:
May 15, 2012

Analog designers ‘need to use digital tools’

Designers working on mixed-signal circuits will benefit from using digital tools, Cadence's SVP of R&D for custom design said at CDNLive EMEA today. But for those who don't a faster fast Spice is on its way.

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors