Synopsys

October 2, 2018

White paper outlines challenges of developing machine-learning hardware

A recent white paper from Synopsys outlines the complexities of developing hardware for use in machine-learning and artificial-intelligence (AI) systems.
Article  |  Topics: Blog Topics  |  Tags: , , ,   |  Organizations:
August 16, 2018

IBM and Synopsys to apply DTCO to post-finFET process development

Collaboration on DTCO offers IBM a better way to evaluate combinations of transistor architectures, materials and other process technology innovations using design metrics, before real wafers become available for physical experimentation.
July 30, 2018

56G Ethernet IP to enable leaf-spine hyperscale data centres

Faster PHYs needed to shift vast amounts of data around giant data centres.
Article  |  Topics: Blog - IP, - Standards  |  Tags: , ,   |  Organizations:
July 30, 2018

Synopsys catalogues AI IP

The rapid growth of interest in machine learning and artificial intelligence has prompted Synopsys to bring all its AI IP together in a microsite and brochure.
Article  |  Topics: Blog - IP, - Product  |  Tags: ,   |  Organizations:
July 27, 2018

Verification engineers embrace emulation for the shift left

In a panel session at June's DAC, Synopsys customers talked about some of the ways they make verification more efficient and bring technologies such as formal, emulation, and simulation together.
July 12, 2018

With RF, power and MRAM, FD-SOI finds its role

FD-SOI is gradually building up a presence as a technology not just for low-power but RF and power integration.
Article  |  Topics: Blog - EDA, IP  |  Tags: , , , , , , ,   |  Organizations: , , ,
July 3, 2018

Fusion improves timing say Synopsys users

Early-access customers talked about their experiences with the Synopsys Fusion-based flow in a panel session at the DAC.
Article  |  Topics: Blog - EDA  |  Tags: , , , , ,   |  Organizations: , , , ,
June 26, 2018

EDA learns to love AI

Machine learning is gradually moving into implementation and verification tools for EDA.
June 19, 2018

Exploring the automotive industry’s requirements for data converter IP

What does it take to build data converter IP that will meet the reliability and functional safety requirements of the automotive industry?
Article  |  Topics: Digital/analog implementation, Blog - IP  |  Tags: , ,   |  Organizations: ,
June 18, 2018

DAC 2018 preview: Synopsys

DAC 2018 will see Synopsys focusing on close links with foundry partners, as well as exploring ways to exploit the potential of machine learning, in both SoC architectures and SoC design flows.
Article  |  Topics: Conferences  |  Tags:   |  Organizations: , , , , , ,

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors