place and route

March 29, 2024

Get a comprehensive overview of ‘Shift Left’ for physical verification

How the various features within today's Calibre physical verification family help designers shift left tasks and cut time-to-market.
Article  |  Topics: Blog Topics  |  Tags: , ,   |  Organizations:
September 28, 2023

Shift left: what it does and how to make it happen

Get to know more on the specific benefits of shift left and how to achieve easy adoption.
July 10, 2023

Calibre ‘shifts left’ into place and route

Calibre Design Enhancer moves physical verification checks and automated DRC-clean via and cell insertion into P&R
Article  |  Topics: DFM, Digital/analog implementation, Blog - EDA, - Verification  |  Tags: , , ,   |  Organizations: ,
December 1, 2022

Identifying AI opportunities in PCB design

The key to exploiting AI is being clear about where its family of technologies can help to improve and democratize design.
July 12, 2022

Devgan plans for optimization through machine learning

Cadence president expects expanded role for reinforcement learning in tool portfolio and looks for help on AI for verification.
Article  |  Topics: Blog - EDA  |  Tags: , , , , , ,   |  Organizations:
June 16, 2022

TSMC certifies Aprisa for N5 and N4

TSMC has certified the Aprisa place-and-route software from Siemens Digital Industries Software for the N5 and N4 process technologies.
Article  |  Topics: Blog - EDA  |  Tags: , , , ,   |  Organizations:
October 12, 2021

Aprisa place-and-route software gets major upgrade

All engines in the place-and-route software have been boosted with cuts to runtime and memory footprint.
Article  |  Topics: Blog - EDA, - Physical design  |  Tags: , , ,   |  Organizations:
July 22, 2021

Cadence uses reinforcement learning to tune flow

Cadence has launched a tool that the company claims can speed up implementation by applying machine learning across the flow.
Article  |  Topics: Blog - EDA  |  Tags: , , ,   |  Organizations:
June 21, 2021

From iterative to in-design DRC and debug for place and route

Learn how Calibre RealTime Digital allows you to identify, explore and fix DRC violations as you go.
May 14, 2021

How MaxLinear cut physical verification time with in-design DRC

A case study describes how the RF and AMS specialist achieved efficiencies on a complex server DSP SoC project by running as-you-go DRC during place and route.

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors