Refining DTCO to bridge data walls in system design

By TDF Editor |  No Comments  |  Posted: April 11, 2024
Topics/Categories: DFM, Verification  |  Tags: , , , , ,  | Organizations: ,

Design technology co-optimization (DTCO) has become ever more important toward the prevention and prompt capture of systematic defects in increasingly complex design.

It has its foundations in the early-stage exchange of valid data during steps such as design rule checking (DRC), optical proximity correction (OPC) verification, metrology and inspection, and physical failure analysis. These processes precede traditional design for manufacture (DFM) and litho-friendly design (LFD) verification processes.

However, the pattern-based DFM strategies that have served the industry well in the past are running out of steam. The brute-force machine learning strategies that foundries have begun to apply to compensate also need to be refined: as ever, they need better data.

This is why DTCO has become an increasingly common approach. As well as enabling the earlier capture of potential issues, it also serves to overcome the data barriers that exist between different steps and – increasingly – the number of participants in the pre-foundry flow.

A keynote presentation from last year’s SPIE Advanced Lithography + Patterning is now available to view in full online.

The authors of ‘Extending design technology co-optimization from technology launch to HVM‘ identifies further enhancements to the DTCO strategy that are needed, and how to address them.

Breaking down data walls for DTCO (Siemens EDA)

Figure 1. Breaking down data walls for DTCO (Siemens EDA)

“Design-technology co-optimization (DTCO) tries to break down the walls, but the methodologies available are incomplete. Traditional DTCO starts very early in the process node development. Starting with a scaling need, a standard cell is defined and we do synthesis, place, and route to come up with basic patterns and measure the performance and power. We also do SRAM yielding, do yield analysis, and use that to loop back to the standard cell design,” they explain.

“Our proposal is to extend this co-optimization concept to the entire process from design to manufacturing. This involves enabling the easier flow of information from design all the ay to the final process and physical analysis by creating an information channel.”

 

Comments are closed.

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors