Author Archives: Luke Collins

About Luke Collins

Luke Collins has spent 22 years covering electronics, EDA and innovation. He is a former Editor-in-Chief of Electronics Times in the UK, and co-founded the international IP9x conference series on semiconductor IP. Luke's work has also appeared in The Economist, The Financial Times and Reseach-Technology Management.
June 18, 2018

DAC 2018 preview: Synopsys

DAC 2018 will see Synopsys focusing on close links with foundry partners, as well as exploring ways to exploit the potential of machine learning, in both SoC architectures and SoC design flows.
Article  |  Topics: Conferences  |  Tags:   |  Organizations: , , , , , ,
June 6, 2018

Synopsys speeds PrimeTime with AI

Synopsys applies AI to speed PrimeTIme, as part of wider strategy to exploit machine learning to ease chip design
Article  |  Topics: Blog - EDA, - Verification  |  Tags: ,   |  Organizations: ,
May 17, 2018

Synopsys offers ASIL D ready embedded vision IP for ADAS and autonomous vehicle SoCs

Synopsys has extended its range of semiconductor IP for use in advanced driver assistance (ADAS) and autonomous vehicle SoCs with the launch of embedded vision processor blocks that have been given safety enhancements.
Article  |  Topics: Product  |  Tags: , , ,   |  Organizations:
May 2, 2018

TSMC certifies Synopsys tool flow for 7nm EUV process

New flow enables high-performance, high-integration designs.
Article  |  Topics: Blog - EDA, - Product  |  Tags: , ,   |  Organizations: ,
February 27, 2018

Synopsys UFS 3.0 IP doubles bandwidth to flash

Faster, lower power flash interface IP with built-in encryption/decryption speeds access to embedded and removable storage.
Article  |  Topics: Blog - IP, - Product  |  Tags: , ,   |  Organizations: , ,
June 20, 2017

Formal focus for Synopsys blog

Synopsys experts are now blogging about key issues in formal verification - how to use it, which techniques to apply, and the effort/reward ratio of doing so.
Article  |  Topics: Industry Blogs, Verification  |  Tags: , , ,   |  Organizations:
June 1, 2017

DAC 2017 preview: Synopsys

Synopsys has released details on its varied activities at DAC 2017, ranging from panels to technical papers.
Article  |  Topics: Conferences  |  Tags:   |  Organizations: , , , , , ,
March 28, 2017

Designing for autonomous vehicles

Two upcoming webinars focus on key aspects of designing the kinds of advanced driver assistance systems (ADAS) that will pave the way for fully autonomous vehicles.
Article  |  Topics: Blog Topics  |  Tags: , , , ,
February 1, 2017

Five steps to faster FPGA implementation

Five steps you can take to speed up the FPGA implementation of a complex design, from structuring your design flow to debugging its output.
Article  |  Topics: Design to Silicon  |  Tags: , ,   |  Organizations:
October 10, 2016

Speeding up AMS design in the age of finFETs

STMicroelectronics, Samsung, GSI Technology and Synopsys talk about the challenges of doing AMS design on finFET processes.
Article  |  Topics: Conferences, Design to Silicon  |  Tags: , , ,   |  Organizations: ,