Blog Topics

February 3, 2015

ARM to upgrade smartphone processing with Cortex-A72 combination

ARM has launched a 64bit processor core aimed at high-end mobile phones, coupled to a new graphics processor and cache-coherent interconnect.
Article  |  Tags: , , ,   |  Organizations:
January 28, 2015

Cadence updates Sigrity tools and license options

Cadence Design Systems has added LPDDR4 support and a topology explorer to its Sigrity lineup for signal and power integrity analysis of PCB-based designs, as well as more flexible licensing options.
Article  |  Tags: , ,   |  Organizations:
January 27, 2015

ARM to provide safety docs for real-time processors

ARM has picked up TÜV Süd certification for a version of its C compiler and produced an ISO 26262 documentation pack for the Cortex-R5 processor
Article  |  Tags: , , , , ,   |  Organizations:
January 19, 2015

Ambiq uses subthreshold techniques to cut power on ARM MCUs

Research by the University of Michigan into subthreshold circuit design has led to spinoff company Ambiq Micro creating a family of microcontrollers that it claims provide an ARM Cortex-M4F with power consumption at levels normally associated with an M0+.
January 14, 2015

Cadence updates Xtensa with memory and power saving features

Cadence Design Systems has launched the 11th generation of Tensilica Xtensa customizable processors, with changes for VLIW, power-saving caches and memory accesses.
Article  |  Tags: , , ,   |  Organizations:
January 7, 2015

CEA-Leti deals with heat issue on monolithic 3DIC

At IEDM 2014, CEA-Leti presented a technique that prevents damage to base-layer transistors in monolithic 3DIC processes. As work progresses, the institute is preparing to receive 3DIC designs in 2017.
January 5, 2015

Cadence high-level synthesis changes deal with congestion

SystemC coding style can lead to excessive congestion in the logic generated by high-level synthesis. Cadence described how it is attacking the issue at its recent Front-End Design Summit.
December 18, 2014

Gary Smith EDA: PCB ‘a door to the future’ but ‘slow take-off’ for ESL

The leading EDA analyst also charts growth for RTL and IC CAD in 2014 Market Share Summary, and highlights system-driven shifts in tool evaluation.
Article  |  Tags: , , , , , ,   |  Organizations:
December 16, 2014

14nm/16nm finFETs debut at IEDM

The International Electron Device Meeting (IEDM) has once again provided a chance for the major chipmakers to go head-to-head with their latest processes - this time with finFETs.
Article  |  Tags: , ,   |  Organizations: , ,
December 11, 2014

Use-cases drive high-level verification tool

Cadence has released a tool intended to ease the creation of scenario-driven tests to better exercise complex IP and SoC designs.

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors