AI and ML fuel Catapult and Calibre updates

By Paul Dempsey |  No Comments  |  Posted: May 23, 2019
Topics/Categories: Conferences, Design to Silicon, Blog - EDA, - HLS  |  Tags: , , , , , , , , , , ,  | Organizations: ,

Mentor’s latest additions to its Catapult high-level synthesis and Calibre physical verification and DFM families draw on its investment in machine learning (ML) and artificial intelligence (AI).

The Catapult HLS AI Toolkit and Ecosystem is aimed at edge machine learning applications where standard architectures are increasingly forcing designers to look at custom and semi-custom implementations.

Two new Calibre tools – Calibre Machine Learning OPC (mlOPC) and Calibre LFD with Machine Learning – used ML and AI to enhance optical proximity correction and lithography simulation.

Catapult HLS AI

As more processing necessarily moves away from the cloud, an increasing challenge for edge ML silicon is balancing requirements for power, performance and area (PPA). This is leading an increasing number of players to opt for custom or semi-custom or custom convolutional neural network (CNN) architectures over off-the-shelf silicon.

HLS has already been used across a number of AI designs (the first of our two-part series on the topic can be found here). The new Catapult tookit leverages this experience across a range of features including reference designs with four starter kits for AI/Vision, an FPGA demonstrator, CPU subsystem, HW/SW interface, and HLS accelerator.

The tookit designs are:

  • Edge detection from HOG line-buffer architecture.
  • 2D convulution engine reconfigurable processing element (PE) array.
  • Nine-layer CNN full custom fused architecture.
  • Nine-layer CNN reconfigurable Eyeriss PE array.
Figure 1. The Catapult HLS AI Toolkit flow (Mentor)

Figure 1. The Catapult HLS AI Toolkit flow (Mentor)

 

Machine learning within Calibre

The extensions to Calibre’s OPC and lithography simulation use AI/ML based on both trained and untrained data, based around a recently integrated machine learning infrastructure (Figure 2).

Figure 2. Calibre architecture integrates ML infrastructure (Mentor)

Figure 2. Calibre architecture integrates ML infrastructure (Mentor)

In both cases, Mentor is saying that the tools are significantly faster. Calibre mlOPC achieved a 3X comparative reduction in runtime on a 7nm design. Calibre LFD achieved a 10x speedup in LFD time.

Other improvements in tool performance attributed to AI/ML, included a much lower computational load for OPC (Figure 3) and the identification of previously undetected yield limiters within the LFD tools.

Figure 3. OPC with machine learning has reduced computational load (Mentor)

Figure 3. OPC with machine learning has reduced computational load (Mentor)

“Mentor is committed to developing solutions with functionality that will help our customers more easily integrate AI and ML into their products,” said Joe Sawicki, executive vice president of the Mentor IC group at Siemens.

“In addition, Mentor is incorporating adaptive ML into our own tools, and as a result customers are seeing vast improvements in runtimes and accuracy.”

Mentor will be featuring these and its other innovations in ML/AI – including the tools it acquired when buying EDA ML pioneer Solido – at next month’s Design Automation Conference.

 

 

Comments are closed.

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors