OS-VVM


March 16, 2012

DATE notebook: Aldec builds in more support for VHDL methodology

Aldec has updated its Riviera Pro tool to provide more support for OS-VVM, the recently launched verification methodology for VHDL

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors