Making the case for HLS in autonomous drive

By TDF Editor |  No Comments  |  Posted: August 23, 2019
Topics/Categories: Blog - EDA, - ESL/SystemC, HLS  |  Tags: , , , , , , , , , , ,  | Organizations: , ,

High-level synthesis has been proving its value for some time across a broad range of designs riding the latest waves in machine learning (ML) and artificial intelligence (AI). The automotive sector is one that could particularly benefit from the greater abstraction HLS offers. A new article from the team behind the Catapult HLS suite seeks to make that argument.

Automotive faces many of the pressures that are forcing the market’s players to look at custom and semi-custom designs (ASIC or FPGA) that leverage AI and ML. This is largely  because of the increasing autonomy being applied within vehicles.

For example, ‘Sensor fusion’ means that a vehicle’s processing engine must absorb, analyze and act upon data coming from multiple and increasing sources, all the while observing functional safety requirements. That factor is already putting much stress upon the processing capacity of standard platforms such as GPU and CPU.

The algorithms that are being applied to those types of data are also constantly changing evolving in step with ML feedback loops and the greater amounts of input they are receiving. This is in addition to ‘traditional’ attempts to improve their  baseline efficiency.

The Catapult team’s paper argues that these pressures promote the move from RTL to HLS.

It offers flexibility in terms of algorithmic refinement (both hardware and software can typically be developed in a C, C++ or SystemC context). It speeds up hardware delivery (particularly given a 100X variation in verification times for RTL vs HLS).

HLS is also already a ‘proven’ development environment for such critical automotive functions as computer vision.

This position is supported with direct reference to automotive-led or -related projects that have been undertaken at companies such as BOSCH Visiontec and STMicroelectronics, historically significant players in the automotive market who continue to now favor HLS for their ongoing projects.

High-Level Synthesis for Autonomous Drive is available from this link.

 

Comments are closed.

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors