DAC 2018 preview: Real Intent

By TDF Staff |  No Comments  |  Posted: June 21, 2018
Topics/Categories: Conferences, Blog - EDA, - Verification  |  Tags: , , ,  | Organizations:

Sign-off specialist Real Intent will highlight its newly-announced Verix PhyCDC and Verix SimFix products on Booth #1431 at the Design Automation Conference in San Francisco’s Moscone West (Exhibition: June 25-27).

Verix PhyCDC debugs clock domain crossing violations (CDC) at the gate level netlist of digital designs and was unveiled only last week. Ramesh Dewangan, vice president of product strategy at Real Intent, explained the thinking behind the launch

“CDC verification traditionally has been targeted at RTL sign-off before physical implementation begins,” he said. “The CDC problems introduced during synthesis along with the addition of test logic and low-power optimizations are risk factors for SoC designs at the physical implementation stage.”

For those who cannot attend DAC 2018, Real Intent has also published a a white paper and datasheet which discuss the backgroun to Veryix PhyCDC in greater detail.

Verix SimFix addresses X-pessimism and will be joined on the Real Intent standard by products including Verix CDC, Meridian CDC and Meridian RDC.

The company has set up a dedicated DAC page where interested visitors can arrange appointments and demonstrations. Registration at the booth is also available.

Comments are closed.

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors