clock domain crossing (CDC)

March 20, 2012

Blindsided by a glitch

Logic glitches in asynchronous clock domain crossing paths can arise even when synthesis tools declare a design’s RTL and gate-level netlists equivalent. This article describes Real Intent’s approach to capturing them.
January 24, 2012

Design for test: a chip-level problem

The inherent complexity of today’s system-on-chips, with their multiple clock and voltage domains, requires test considerations to be moved further up design flows. The article describes strategies for and benefits from apply test before RTL goes through synthesis, augmenting what is already achieved through memory built-in self test and automatic test pattern generation.
Article  |  Topics: EDA - DFT  |  Tags: , , , ,
March 2, 2009

Multiple cross clock domain verification

Today’s system-on-chip designs often need to encompass multiple asynchronous clocks. This raises the problem of verification for the resultant clock domain crossings. It is becoming apparent that functional simulation alone is not up to the task. Instead, engineers need to consider hybrid methodologies, combining structural and functional verification approaches. The use of assertions is also […]

Article  |  Topics: EDA - Verification  |  Tags: , ,
September 1, 2008

Clock domain crossing: guidelines for design and verification success

Clock domain crossing (CDC) errors can cause serious design failures. These can be avoided by following a few critical guidelines and using well-established verification techniques. The guidelines include: When passing 1bit between clock domains: register the signal in the sending clock domain to remove combinational settling; and synchronize the signal into the receiving clock domain. […]

Article  |  Topics: EDA - Verification  |  Tags:

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors