restricted design rules (RDR)

August 25, 2013
Tim Whitfield, director of engineering, ARM Taiwan

Proving the 20nm ecosystem with the ARM Mali GPU

What ARM learnt when it ran a Mali GPU-based test chip through a Synopsys tool flow onto a TSMC 20nm process

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors