multibit merging

May 28, 2015

Dynamic power optimization

FinFETs present a number of problems with respect to dynamic power consumption. Design techniques are being re-evaluated to deal with the issue.

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors