Not the one that got away

By TDF |  No Comments  |  Posted: May 1, 2010
Topics/Categories: EDA - DFM  |  Tags: , ,

The purpose of this special issue of EDA Tech Forum is to try and cut through some of the confusion and even frustration that surrounds DFM as a concept. We cannot promise “DFM for Dummies,” but we do hope to give you a sense of how you might manage the process.

Design for manufacturing (DFM) lends itself quite well to pithy metaphors. It is the ‘slippery fish’ of the semiconductor industry—you can never quite get a hold of it. Or maybe it is silicon’s answer to Doctor Who—every time you look at it, it seems to have a different face. Certainly, as DFM offers new challenges with each successive process node, it is the very definition of a moving target.

The purpose of this special issue of EDA Tech Forum is to try and cut through some of the confusion and even frustration that surrounds DFM as a concept. We cannot promise “DFM for Dummies,” but we do hope to give you a sense of how you might manage the process.

A few general observations. Yes, all chip companies have always done DFM in the sense that all designs have always had to be manufacturable, and in reasonable volume. However, DFM here refers to a group of challenges presented from—effectively—130nm and below. Many, but not all of these have to do with trying to print features that are smaller than the wavelength of the light used to produce them. Others are the result of the physics that apply and the havoc that defects can wreak when dealing with sub-micron features. And, of course, there is the beast that is leakage power.

In that regard, DFM is not about to stop changing. Each new node presents something new, requires new tools, and demands that they be used at different stages in the design flow. One good idea—if you have not already adopted this posture—is therefore not to even think of DFM tools in and of themselves, but rather to think of the DFM awareness of all the tools you use. We are dealing with something that is more a pervasive concept than a particular step in the design process.

It is also useful to see DFM in the context of a wider challenge facing today’s designers. Everything is connected. In much the same way as we need more concurrency in terms of hardware and software design for today’s system-on-chips, DFM is also driving much more cross-disciplinary cooperation. Tools used early in a flow will only work if they are informed by usable data and intellectual property from those whose primary concern may be manufacturing. That is just one example. The dialog between all specializations must be detailed and constant.

There is a significant design management challenge here and therefore a cost. So a final message might be: think practically. Which node do you need to target? Which node can you afford to target? Is time-to-market such a factor that your resources currently prevent you heading for the most advanced available process? Obvious questions, perhaps—but again, the continuous shifts in the DFM agenda may have clouded them for many executives.

Comments are closed.

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors