Opening up the dialogue

By TDF |  No Comments  |  Posted: June 1, 2011
Topics/Categories: EDA - IC Implementation  |  Tags:

A bid for more interactivity is one of the program cornerstones for the 48th Design Automation Conference.

The 48th Design Automation Conference is ringing in a few changes. Due to take place early this month (June 5-9), in San Diego, California, it will feature revamped tutorials, a new platform for early stage research, and restructured technical sessions aimed at making the conference more interactive.

The changes are being ushered in by this year’s general chair Leon Stok, vice president of IBM’s EDA group, and vice chair Patrick Groeneveld, chief technologist at Magma Design Automation and also Stok’s successor for 2012.

“We want to strengthen the idea that DAC is a meeting place where you do more than just receive information,” Stok explained. “The process has already been under way for a while, but we are building it further this year.

“We have more social events, most of which are integrated with what is happening on the show floor, and overall we want to stimulate more interactivity and more dialogue.”

Some of the specific initiatives are particularly interesting. Technical papers have been consciously shortened to explicitly leave space for Q&A at the end. Alongside that, the conference is launching a ‘Work In Progress’ poster session on Wednesday evening (6-7pm, June 8, Sails Pavilion).

“The idea here is that there has long been some work that was under way, which was more than just very advanced research, but was not at a point where the researchers behind it could deliver a full technical paper,” Stok said. “The Work In Progress session allows them to present the work explicitly seeking comment and responses from the broader community.”

This new strand also sits well with the more advanced concepts that have been considered for several years now in sessions such as “Wild and Crazy Ideas” (this year scheduled for Tuesday afternoon, 4-6pm, June 7, Room 31AB).

“However, what we’re also doing is taking some of the workshops in that direction, looking at what’s happening in the future,” said Stok. “An example of that is the workshop on Bio Design Automation (Monday and Tuesday, June 6-7, in Room 28AB).”

“What you see happening there,” added Groeneveld, “are a couple of things. There are the interdisciplinary concepts that we are likely to see moving into more of the EDA or system design mainstream in, say, five to seven years. But what also becomes interesting is the way in which techniques developed for EDA start to become of value and use to other areas.”

Beyond that, there are other ongoing sections of the conference that take a very pragmatic, here-and-now approach. For example, the User Track has expanded again and comprises of nine paper sessions (including several dedicated to case studies) and three poster sessions. Its mixture of practical advice and war stories continues to draw a growing audience.

Tradition

However, it is the main technical sessions that still form the backbone of DAC. The conference’s ability to draw on the latest not just from industry but from what is emerging from research labs and universities, helps to differentiate it from other similarly themed events.

Stok said that DAC’s submissions now tend to fall into three areas: front-end, back-end, and, increasingly, embedded software and systems (ESS). “And we’ve made a real effort to expand on the ESS side for the last few DACs,” he continued, “to a point where you could say that this time we have perhaps over-succeeded. In that I mean we had a target for about a third of the entire technical program to have an ESS focus, and we’ve ended up just slightly over that. We also put out a special call to attract ESS papers and again, we got very good responses—in the end, I think about 30% of the accepted papers are in that field.”

San Diego Convention Center

Groeneveld further noted that he thinks DAC is particularly well positioned to meet the needs of the embedded space, even though there are several other high profile events that also address it—indeed this year, only about five weeks separate DAC from the Silicon Valley edition of the Embedded Systems Conference. Nevertheless, the DAC exhibition is also launching a dedicated ESS Zone.

“There is the role DAC has in promoting academic research,” Groeneveld said, “but beyond that the other area that we are focusing a lot on is where hardware design, IP and embedded software meet.

“I think there is a space there that is a little bit less software-angled, but is more about looking at things in terms of the system configuration hardware angle. And then there are companies that are focusing on virtual prototyping, an area that brings a lot more of the hardware modeling and software together. Those are the kind of areas where embedded meets hardware and where I think DAC can fill a void.”

Meanwhile, back-end submissions continue to show a heavy focus on design-for-manufacturing, not that surprising given that this year will see wider availability of 28/32nm processes. “There is a whole series of issues arising. People are finding that they need to take a new look at routing, and we have a session specifically entitled ‘Routing Revived’ [Tuesday, 2-3.30pm, Room 31AB],” said Stok. “But there are also challenges surrounding thermal management subsystems and their modeling and we need to address still more deep sub-micron effects.”

At the front-end, Stok said that the big themes surrounded multiprocessor and multicore design. “How does one work with the software here, particularly how do you use synthesized multiprocessor software,” he said. “But beyond that we’ve also seen a strong focus on the security aspects of putting these systems, software and hardware, together.”

The 2011 DAC keynotes have been tailored to match many of these anticipated themes as well as some that the conference may not have covered that well in the past. “We have Steve Wozniak, and I think that what will be great there is not just that Woz is a huge pioneer in our business, but he’s also able to talk about the human side of being an engineer,” Stok said. “What’s the fun and what’s the joy of engineering? That’s an angle that we’ve not generally addressed at DAC, and that has not been addressed generally by our business.”

Meanwhile, in terms of technological challenges, Stok has secured Lisa Su, senior vice president and general manager of networking and multimedia for Freescale Semiconductor, to discuss ‘Megatrends Driving Embedded Multicore Innovation’ (Tuesday, 8.30am, 20AB), and Gadi Singer, vice president of the Intel Architecture Group and General Manager of the company’s SOC Enabling Group, to speak on ‘The Imminent EDA Transformation’ (Wednesday, 11.00am, 20AB).

A fourth keynote will then look at crossover trends as Dharmendra S. Modha, founding manager of the Cognitive Computing group at IBM’s Almaden Research Center, takes us through some of the research behind DARPA’s Synapse project in a talk on ‘Cognitive Computing: Neuroscience, Supercomputing, Nanotechnology’ (Thursday, 11.00am, 20AB).

The short and the broad of it

The Design Automation Conference (DAC) is offering a new type of tutorial this year, and plans to extend the format into the future.

The original day-long tutorials that went into considerable but often very specific depth on their topic areas, have been replaced by shorter two-hour sessions, which will be run three times on the Monday (June 6) of the conference, at 8.30am-10.30am, 11.30am-1.30pm and 3.30pm-5.30pm.

The idea is that these tutorials will now address topics of broad interest, and while not simply a beginner’s guide, will seek to provide a broad and basic foundation that is as applicable to engineers who need a high level understanding as to those who will go on to address each area in great detail.

The six subject areas for 2011 (and the rooms in which they will be held) are:

  • Android Apps Development Boot Camp (33B)
  • iPhone Apps Beginner Guide (33C)
  • A Designer’s Guide to Sub-Resolution Lithography: Enabling the Impossible to get to the 15nm Node (33A)
  • Demystifying TSV-Based 3-D Stacked ICs – A Design and Test Perspective (29CD)
  • System-Level Design and Software Development for Energy Efficient Platforms: Challenges from Models to Methods (30C)
  • Starter Kit for Chip-to-System Reliability (32AB)

The full DAC program and details on registration are available online at www.dac.com.

Comments are closed.

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors