Innovate in volume

By TDF |  No Comments  |  Posted: June 1, 2005
Topics/Categories: EDA - DFM  |  Tags:

If one thing has become clear as process geometries have gone below one micron, it is that the traditional development model is broken.

It seems only recently that companies were talking about adopting a greater ‘customer focus’, but even the linear sequence of first, finding out what the client wants; second, getting your designers to put it in silicon; and third, handing it off to manufacturing today seems hopelessly inadequate.

For a leading chip supplier such as Texas Instruments, the elision of these stages and their increasing interconnectedness has become fundamental to its business model. The company’s CTO, Hans Stork, sets out the challenge in very simple terms. “Clearly, the market is now very consumer [electronics] oriented.

That means that we don’t just have to be able to innovate and supply what our top-tier customers want.We have to be able to do it in large volume and in short order,” he says.

“So, it might have been easy for us to tell, say, Nokia that we would have been sampling at 90nm in 2003. But it was more important for them to hear that we would supply a large number of devices.”

Stork charts very similar pressures in terms of TI’s roll-out of the OMAP architecture. Its rapid acceptance within 3G markets again came down to a combination of the fact that it was an innovative technology and also one that was rapidly available in high quantities. In this context, a number of issues have been pushed up the agenda – and, one of the buzz phrases in silicon right now is design for manufacturability.

IBM-Toshiba microprocessor

TI’s rollout of its OMAP technology depended on large shipments

While Stork notes that TI had no great epiphany on DFM – but rather a gradual coming-to-terms with its importance – he adds that given those volume-based economics, “We will not succeed in yielding product within an appropriate margin without it”.

“A lot of the issues around DFM – sensitivity to rules and so on – have become first order effects.We’ve seen that at 90nm and we see these issues intensifying at 65nm already. And we’re now beginning to get our heads around 45nm. So the interaction between product design and process development has become much more important,” he adds.

And much the same applies in terms of the relationship between circuit design and process management. For example, Stork sees 65nm placing still greater emphasis on power management as a DFM issue.

“There, we are really looking at using a circuit technology to fight the limitations of the process technology, so it requires participation from people in both areas,” he continues.

The need for this kind of collaboration has been recognized elsewhere. However, Stork does raise an important and often overlooked point. How far should this collaboration go and who should be involved in setting down the ground rules that allow companies to move from one node to the next?

“One of the first things you have to realize is that it is impossible for an average designer or even an average number of designers to both grow in sophistication in their own fields and then to maintain the same rate of development in a whole set of others,” says Stork. “We’re human beings, and as human beings we have limits. So there is a very significant management challenge.”

The threat of information overload might seem obvious, yet it is undoubtedly there. Many an engineer is worried about how much he will need to take on board about DFM or one of its consequential subsets, in addition to staying up to speed in his core competences. It does not help that the concept itself can sometimes get lost in a kind of unhelpful management consultant-speak.

At TI, the results of DFM-based research will ultimately impact upon hundreds of engineers, but when it comes down to setting the course, the workload is deliberately being concentrated in small teams.

“The insight itself doesn’t require many people,” Stork explains, “the execution does. So, for example, in the initial forming stages of a strategy there might be just a dozen people involved in the effort. For us, that takes in some fellows, some process managers and some platform managers,” says Stork.

“We’ve also been lucky in that we have some people who wanted to look across from each area at what the other was doing. It wasn’t a specific foresight, but at the same time, if people wanted to expand their knowledge in that way, it was encouraged. “The important thing is that, for example, once there was the realization that power management was going to be critical, we had the forest of appropriate skills out there that the fellows could harvest from for the work.”

And the ultimate benchmark for success is also simple: “How well can we translate this into a tool for use by a typical user? If the new technology is too sophisticated, then it isn’t working.”

But even as the company places this kind of order on the process and benchmarks its deployment, Stork acknowledges that DFM is being accompanied by a still considerable amount of “learn as you go”, and that the physical limits now being tested by silicon design could – in fact, probably do – hold more surprises.

IBM-Toshiba microprocessor

TI’s decades of innovation are marked by one of the world’s largest sundials, outside of its HQ

“In the future, we will have a clearer separation of skills and tasks, of who does what, and there will be more abstraction. But we are in a situation where we have taken on things as an industry at one stage, and then they have come back or could come back to bite us,” says Stork.

“A lot of what we have done with lithography has been – and it’s an interesting dynamic – to play tricks with light, and there is a price to pay for that. There are a lot of issues with materials where we are on the borderline of the physics. Then consider strain.

“Our understanding of strain is more limited than for other techniques. What’s happening there is extremely complex and I’ll say, I think I understand it but I don’t know everything. So, we’re probably going to get to a point where we’re going to see things that we don’t like, and then we’ll have to react.”

However, all this tends more to validate than invalidate the approach. After all, if strain does throw up something unexpected and unwanted, the question then becomes, as Stork notes, “OK. So how do I handle this?”

There is a coda to this. “You can see where many of the future issues will arise, although there will always be the unexpected. Something that remains more difficult is being able to see what the applications going forward will be.”

Yes, indeed. Device physics. That’s, ahem, the easy bit.

Comments are closed.

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors