Oasys-RTL

March 21, 2016

How to maximize productivity with faster, high-capacity RTL synthesis

New RTL synthesis tools such as Oasys-RTL have greater capacities and shorter runtimes as well as allowing more attention to be spent on achieving QoR
Article  |  Topics: EDA - IC Implementation  |  Tags: , ,   |  Organizations:
February 18, 2016
RTL Floorplanning - Featured Image

How new RTL floorplanning techniques speed physical design

Advances in RTL floorplanning help cut front-to-back-end iterations, speed synthesis by 10X and boast the capacity needed for today's designs.

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors