delay estimation

November 6, 2013

Improving performance through better delay estimation of sub-32nm interconnects

Better delay estimation of sub-32nm interconnects, in which resistivity varies up to 100x between layers, helps Cavium improve overall performance and get ready for even denser processes

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors