MCMM

Multi-corner multi-mode (MCMM) analysis is a technique intended to provide high confidence results for timing and other metrics without performing exhaustive simulation of all possible IC conditions. The analysis uses multiple design points to examine the effects of process and environmental variations as well as changes caused by shifts into different operating modes.

Multi-corner analysis is intended to capture the effects of variation on the manufacturing process as well as voltage and temperature. Multi-mode analysis alongside has become more commonplace because of the demand for ICs that have different low-power modes, as well as test modes and various functional modes.

Sudhakar Jilla’s 2007 article for TDF, “Using multi-corner, multi-mode techniques”, outlined the key problem for designers: “As an example of the problem, a cellphone chip typically needs to be designed for 20 mode/corners scenarios. In the absence of a implementation system that can handle multiple mode/corners scenarios simultaneously, the design team has to implement the design for a chosen worst corner, set some margins/pessimism, and then hope that the chip works at other mode/corners.”

However, designers have found that the worst corner is not always easy to predict. For example, as high-Vt, low-leakage cells get colder they do not speed up in the way that circuits built around faster low-Vt transistors do. They can start to slow down, a phenomenon that’s earned the name “temperature inversion dependence”, a problem first described by Vassilios Gerousis of Infineon Technologies at the 2003 IEEE Custom Integrated Circuits Conference. As a result, intelligent timing analysis is required to provide high-quality MCMM results.

April 22, 2014

Accelerating multi-corner multi-mode sign-off using the Lynx Design System

Mixed-signal chip designer Semtech on using Lynx Design System to manage multi-corner multi-mode sign-off when you've got 306 scenarios to check.
Article  |  Topics: IP - Design Management, EDA - Verification  |  Tags: , , , ,   |  Organizations:
February 6, 2014
Sudhakar Jilla is group marketing director for place & route at Mentor Graphics.

Concurrency tackles MCMM issues head-on

The number of scenarios needed for MCMM timing analysis has skyrocketed. IC implementation calls for a concurrent approach to deal with the issue.
Expert Insight  |  Topics: EDA - IC Implementation, Verification  |  Tags: ,   |  Organizations:
December 9, 2013
Carey Robertson is a director of product marketing at Mentor Graphics overseeing the marketing activities for layout versus schematic (LVS) and extraction products.

FinFET parasitics come under control

Extracting finFET parasitics means a shift to 3D models, field solvers for greater accuracy, and MCMM techniques.
Expert Insight  |  Topics: EDA - DFM, IC Implementation  |  Tags: , , , ,   |  Organizations:
September 6, 2013

On-chip variation (OCV)

Accounting for on-chip variation (OCV) has become a critical factor in assuring timing closure for nanometer-scale ICs and avoiding over-pessimistic margins.
Guide  |  Topics: EDA - Verification  |  Tags: , , , , , ,
December 6, 2012

20nm timing analysis – a practical and scalable approach

Using hierarchy and improved constraints management to accelerate static timing analysis at 20nm and below.
Article  |  Topics: EDA Topics, EDA - IC Implementation  |  Tags: , , , ,   |  Organizations:
April 14, 2010

Top-level MCMM closure for a multi-million-gate design

STMicroelectronics in Greater Noida, India recently completed an Omega2 set-top-box decoder IC targeted at HDTV markets. This article discusses how ST used Mentor Graphics’ Olympus-SoC software to address the closure challenges presented by a very large design. It describes how the design team used the tool suite’s chip assembly, concurrent multi-corner multi-mode (MCMM) analysis and [...]
Article  |  Topics: EDA - DFM  |  Tags: , ,
May 1, 2009

The art of low-power physical design

The architectures that underpin today’s traditional place-and-route tools are showing their age, largely because their static timing analysis engines cannot handle more than two mode/corner scenarios. Thus limited, the software struggles to effectively implement low-power design techniques beyond such established concepts as clock gating and multiple threshold voltages. Designers run into difficulties when trying to […]

Article  |  Topics: EDA - DFM  |  Tags: , , ,
June 1, 2008

Multi-corner multi-mode signal integrity optimization

Signal integrity (SI) is an ever-growing problem as more interconnect effects and fast clocks increase the chances of crosstalk noise and glitches as well as unexpected signal delays. There has been a significant increase in SI-related timing violations due to the increasing influence of lateral wire capacitance in designs at 65 and 45nm. A fast-increasing […]

Article  |  Topics: EDA - DFM  |  Tags: , ,
September 1, 2007

Using multi-corner multi-mode techniques to meet the P&R challenges at 65 nm and below

Concurrent multi-corner, multi-mode analysis and optimization is becoming increasingly necessary for sub-65nm designs. Traditional P&R tools force the designers to pick one or two mode corner scenarios due to inherent architectural limitations. As an example of the problem, a cellphone chip typically needs to be designed for 20 mode/corners scenarios. In the absence of a […]

Article  |  Topics: EDA - IC Implementation  |  Tags: , ,

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors