UPF

July 17, 2014

Cadence brings FPGA prototyping and emulation into sync

Cadence Design Systems has developed an FPGA-based prototyping system that takes advantage of much closer alignment with its existing Palladium XP emulator to accelerate bring-up and support debugging across both platforms.
Article  |  Topics: Blog - EDA, Embedded  |  Tags: , , ,   |  Organizations:
March 26, 2014

Synopsys strengthens analog and mixed-signal verification with VCS AMS

VCS AMS updates AMS verification tool and methodology
Article  |  Topics: Verification  |  Tags: , ,   |  Organizations:
January 14, 2014

Cadence updates Incisive with formal, CRV, wreal additions

Cadence's Incisive 13.2 verification environment includes new formal and constraints engines, X propagation checks, and further real-number model support.
Article  |  Topics: Blog - EDA  |  Tags: , , , , ,   |  Organizations:
October 2, 2013

IP-XACT gets design-flow extensions

Accellera has vendor extensions for IP-XACT that allow tool-specific metadata to be added to support activities such as power-aware verification and floorplanning.
Article  |  Topics: Blog - EDA, IP  |  Tags: , , , , ,   |  Organizations:
June 5, 2013

Jasper, Duolog bring formal verification to IP specification and assembly, low-power design

Deal creates methodologies and tools to help deliver IP and SoC assemblies verified using formal methods. Low-power verification strategy also launched.
Article  |  Topics: Blog - EDA, IP, - Verification  |  Tags: ,   |  Organizations: ,
June 3, 2013

UPF group moves to consider system-power issues

The group that developed the IEEE 1801 Unified Power Format standard is looking to bringing power modeling and estimation to the system level for version 3.0, due in 2015.
May 30, 2013

Latest version of IEEE 1801/UPF available for free

The latest revision of the IEEE 1801 Unified Power Format standard for verifying low-power designs has been made available through the IEEE Get Program.
Article  |  Topics: Blog - EDA  |  Tags: , , ,   |  Organizations: ,
May 7, 2013

CDNLive EMEA: Cadence brings IEEE 1801 into simulation update

Cadence Design Systems has decided to embrace IEEE 1801, derived from the Unified Power Format (UPF), providing support alongside the Common Power Format (CPF).
Article  |  Topics: Blog - EDA  |  Tags: , , , ,   |  Organizations:
February 25, 2013

DVCon: UPF and CPF harmony in low power is only a foundation

As DVCon begins, we interview Cadence's Qi Wang, who has led its efforts to converge the Common Power Format with its rival as the IEEE1801 standard is revamped.
Article  |  Topics: Blog Topics, Commentary, Conferences, Blog - EDA, - Standards  |  Tags: , , , ,   |  Organizations:
May 29, 2012

DAC 2012: Atrenta to automate production of power-intent constraints

Atrenta is updating existing tools and planning new ones to help designers get the most bang for their joule.
Article  |  Topics: Commentary, Conferences  |  Tags: , , , , ,   |  Organizations:

PLATINUM SPONSORS

Synopsys Cadence Design Systems Siemens EDA
View All Sponsors